找回密码
 立即注册
搜索
热搜: 活动 交友 discuz
查看: 443|回复: 0

综合与时序分析的设计约束:Synopsys设计约束(SDC)实用指南

[复制链接]

29

主题

0

回帖

85

积分

实习生

积分
85
发表于 2023-3-10 20:36:20 | 显示全部楼层 |阅读模式
《综合与时序分析的设计约束:Synopsys设计约束(SDC)实用指南》为集成电路时序约束设计的指南,指导读者通过指定的时序要求,充分发挥IC设计的性能。内容包括受时序约束的关键环节的设计流程、综合时序分析、静态时序分析和布局布线等。首先详细讲解时序要求的概念,然后详细解释如何将其应用于设计流程中的特定阶段,后通过实践介绍在Synopsys约束设计下(SDC)业界领先约束的格式。



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?立即注册

×
EDA1024论坛免责声明
请勿上传侵权资料及软件! 如果发现资料侵权请及时联系,联系邮件: fenxin@fenchip.com QQ: 2322712906. 我们将在最短时间内删除。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|EDA1024技术论坛

GMT+8, 2024-4-20 20:23 , Processed in 0.044598 second(s), 21 queries .

Powered by Discuz! X3.5

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表