找回密码
 立即注册
搜索
热搜: 活动 交友 discuz
查看: 384|回复: 1

[转帖] clock tree 做长做短在icc和innovus的对比

[复制链接]

19

主题

1

回帖

104

积分

技术员

积分
104
发表于 2023-9-23 19:50:33 | 显示全部楼层 |阅读模式
1.时钟树做长做短

icc  :  set_clock_tree_exceptions  -float_pin  0.5  "[get_pins  */CK]"

innovus:   set_ccopt_property  insertion_delay 0.5  -pin [get_pins  */CK]


2.定义时钟应该穿过的sink点

icc  :  set_clock_tree_exceptions  -non_stop_pins [get_pins */CK]

innovus :  set_ccopt_property   sink_type through   -pin [get_pins  */CK]

3.定义时钟应该去掉的sink点

icc  :  set_clock_tree_exceptions  -exclude_pin  [get_pins */CK]

innovus :  set_ccopt_property   sink_type ignore   -pin [get_pins  */CK]

4.定义时钟因该去平衡的点

icc  :  set_clock_tree_exceptions  -stop_pin  [get_pins */CK]

innovus :  set_ccopt_property   sink_type  stop   -pin [get_pins  */CK]

5.innovus的较icc特色的时钟定义点

a. 自己定义从哪穿过从哪穿出的,应该穿过的sink点,类似2的

innovus :  set_ccopt_property  trace_through_to  -pin   *instA/in   *instA/out

note: trace_trouch_to 如果同一个in,多个out,后面的会覆盖前面的,

例如  set_ccopt_property  trace_through_to  -pin   *instA/in   *instA/out1

         set_ccopt_property  trace_through_to  -pin   *instA/in   *instA/ou2

则只会保留 set_ccopt_property  trace_through_to  -pin   *instA/in   *instA/ou2

如果想要2个都保留,需要用另一种方式

create_ccopt_generated_clock_tree -name gen_clk_1 -source *instA/ou1  -generated_by  *instA/in
create_ccopt_generated_clock_tree -name gen_clk_2 -source *instA/ou2  -generated_by  *instA/in

这样两个trach_through_to就能都保留了

b.定义时钟长度应该尽量短,但也属于3中ignore的一种

innovus :  set_ccopt_property  sink_type  min   -pin [get_pins  */CK]

c.ignore的另一种,直接不当时钟了,DRV不需要按照时钟来

innovus :  set_ccopt_property  sink_type  exclude   -pin [get_pins  */CK]

innovus  :Summary of the differences between a Stop pin, Ignore pin, and Exclude pin:


Stop pin​
Ignore pin Exclude pin
  • Considered to be a part of the clock tree.
  • Considered for DRV fixing
  • ​Considered for delay balancing.


  • Considered to be a part of the clock tree.
  • Considered for DRV fixing
  • NOT considered for delay balancing.


  • NOT considered as a part of the clock tree.
  • NOT considered for DRV fixing
  • ​NOT considered for delay balancing



EDA1024论坛免责声明
请勿上传侵权资料及软件! 如果发现资料侵权请及时联系,联系邮件: fenxin@fenchip.com QQ: 2322712906. 我们将在最短时间内删除。

36

主题

463

回帖

533

积分

工程师助理

积分
533
发表于 2023-12-30 21:05:37 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|EDA1024技术论坛

GMT+8, 2024-5-19 13:59 , Processed in 0.042077 second(s), 19 queries .

Powered by Discuz! X3.5

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表